Monday, September 3, 2007

Etching of porous SiOCH

In this study, the effect of the mask material on the etch behavior of porous SiOCH was studied with cross section SEM, decoration methods and XPS. Slide 1 shows the chemistry effect for an oxide hardmask. The results show that the addition of more polymerizing gases like CH2F2 helps to generate more vertical profiles because a thicker and/or more stable sidewall passivation layer is formed. Free fluorine etches Si and carbon and therefore without polymer protection, a more isotropic etch is observed. The selectivity to the oxide hardmask is poor and in the order of 4 to 1 SiOCH to oxide. The more polymerizing chemistry shows lower mask selectivity due to the slower SiOCH etch rate.

Slide 2 summarized the results of the analysis of the composition of the mask surface and the sidewall and etch front with XPS. The mask is covered in a layer of C and F polymers for the CH2F2 added process. Carbon is almost missing at the mask etched with the Cf4/Ar only chemistry. The etch front is rich in the polymer forming species C and F for the CH2F2 added process and contains mostly Si and O when this gas is missing. The XPS analysis reveals that the reason for the more vertical profiles obtained with the CH2F2 added process is a thick F and C containing passivation layer. In sharp contrast, the composition of the sidewall for the sample etched with pure CF4/Ar resembles closely the composition of the etch front. This is a clear indication of isotropic etching.

Slide 3 shows an experiment which was designed to measure the thickness of the perturbed layer on the sidewall of the low k material. The samples were stripped and coated with nitride. The sample was then dipped in diluted HF which removes any damaged SiOCH faster then material with the original structure. The surprising finding of this experiment is that the sample which was etched with the addition of CH2F2 shows deeper material damage then the sample etched with pure CF4/Ar. This means that while the polymer is effective to stop isotropic etching, it allows fluorocarbon species to diffuse through the pores and to alternate the composition. If this modified material stays in the device, it can potentially increase the effective k value of the final structure and ultimately slow down the device
The etch chemistry and process can be adjusted to obtain vertical etch profiles while minimizing the diffusion of fluorocarbon into the low k material. The main conclusion from this experiment is that cross section analysis alone is insufficient to judge the quality of a low k etch.

Slide 4 shows results for SiOCH etches with the same etch recipe but different mask materials. When TiN is exposed on top of the structure, very strong profile distortions can be observed. A very thick layer is deposited on the SiOCH sidewall. Since this effect is absent when the TiN is covered with resist, TiN etch by-products must play a role in the formation of this layer.

Slide 5
shows the temperature effect of etching SiOCH with TiN hardmask. As the temperature is increased, the profiles become more vertical. The thickness and shape of the TiN hardmask is not changed significantly. This means that temperature influences the re-deposition process but not the TiN etch, i.e. the amount of Ti containing by-products remains roughly the same but the amount of these species ending up on the sidewall of the structure is increased at lower temperatures.

Slide 6 provides more evidence for this conclusion. A thick TiFx layer is detected on the sidewall and the bottom (etch front) of the sample etched at lower temperatures. This supports the main learning from the experiments with TiN hardmask: The substrate temperature is a key factor in controlling the amount of TiFx based etch by-products and hence in generating well controlled etch by-products.

More plasma etch applications ...

1 comment:

Anonymous said...

Great study.